Skip to main content
Article
Dynamic Temperature Aware Scheduling for CPU-GPU 3D Multicore Processor with Regression Predictor
Journal of Semiconductor Technology and Science
  • Hossein Pourmeidani
  • Ajay Sharma
  • Kyoshin Choo
  • Mainul Hassan
  • Minsu Choi, Missouri University of Science and Technology
  • Kyung Ki Kim
  • Byunghyun Jang
Abstract

The 3D stacked integration of CPU, GPU and DRAM dies is a rising horizon in chip fabrication, where dies are vertically interconnected by TSVs (Through-Silicon Vias) to achieve high bandwidth, low latency and power consumption. However, thinned substrate, high power density and low thermal conductivity of inter-layer dielectric material cause thermal management a crucial problem. Moreover, the vertically stacked dies are susceptible to tight thermal correlations. High temperatures which tend to show higher spatial/temporal localities can make a negative impact on the IC’s reliability and lifetime. To mitigate such problems on CPU-GPU 3D heterogeneous processors, a novel dynamic temperature-aware task scheduling approach for compute workloads using OpenCL framework is proposed in this work. The proposed scheduler predicts the future temperature of each core from a regression model based on its current temperature, the neighbors’ temperatures and the execution profile of each workgroup. The scheduler then selects a core to assign workgroups from task queue based on their predicted temperature to keep the 3D chip below certain threshold temperature. Our experimental results demonstrate that the proposed scheduling technique is a viable solution to address the hotspots and heat dissipation issue of 3D stacked heterogeneous processors under reasonable performance tradeoffs.

Department(s)
Electrical and Computer Engineering
Sponsor(s)

National Science Foundation (U.S.)

Comments

This work was supported by the National Science Foundation (NSF) grant CCF-1337138.

Keywords and Phrases
  • 3D IC,
  • Dynamic thermal management,
  • GPGPU,
  • Heterogeneous computing,
  • Task scheduling
Document Type
Article - Journal
Document Version
Citation
File Type
text
Language(s)
English
Rights
© 2018 The Authors, All rights reserved.
Publication Date
2-1-2018
Publication Date
01 Feb 2018
Citation Information
Hossein Pourmeidani, Ajay Sharma, Kyoshin Choo, Mainul Hassan, et al.. "Dynamic Temperature Aware Scheduling for CPU-GPU 3D Multicore Processor with Regression Predictor" Journal of Semiconductor Technology and Science Vol. 18 Iss. 1 (2018) p. 115 - 124 ISSN: 1598-1657; 2233-4866
Available at: http://works.bepress.com/minsu-choi/104/