Skip to main content
Article
Boron-Implanted Silicon Substrates for Physical Adsorption of DNA Origami
International Journal of Molecular Sciences
  • Sadao Takabayashi, Boise State University
  • Shohei Kotani, Boise State University
  • Juan Flores-Estrada, Boise State University
  • Elijah Spears, Boise State University
  • Jennifer E. Padilla, Boise State University
  • Lizandra C. Godwin, Boise State University
  • Elton Graugnard, Boise State University
  • Wan Kuang, Boise State University
  • William L. Hughes, Boise State University
Document Type
Article
Publication Date
9-1-2018
Abstract

DNA nanostructures routinely self-assemble with sub-10 nm feature sizes. This capability has created industry interest in using DNA as a lithographic mask, yet with few exceptions, solution-based deposition of DNA nanostructures has remained primarily academic to date. En route to controlled adsorption of DNA patterns onto manufactured substrates, deposition and placement of DNA origami has been demonstrated on chemically functionalized silicon substrates. While compelling, chemical functionalization adds fabrication complexity that limits mask efficiency and hence industry adoption. As an alternative, we developed an ion implantation process that tailors the surface potential of silicon substrates to facilitate adsorption of DNA nanostructures without the need for chemical functionalization. Industry standard 300 mm silicon wafers were processed, and we showed controlled adsorption of DNA origami onto boron-implanted silicon patterns; selective to a surrounding silicon oxide matrix. The hydrophilic substrate achieves very high surface selectivity by exploiting pH-dependent protonation of silanol-groups on silicon dioxide (SiO2), across a range of solution pH values and magnesium chloride (MgCl2) buffer concentrations.

Comments

For a complete list of authors, please see article.

Creative Commons License
Creative Commons Attribution 4.0 International
Citation Information
Sadao Takabayashi, Shohei Kotani, Juan Flores-Estrada, Elijah Spears, et al.. "Boron-Implanted Silicon Substrates for Physical Adsorption of DNA Origami" International Journal of Molecular Sciences (2018)
Available at: http://works.bepress.com/elton_graugnard/72/